华泰证券:中国半导体国产化全面提速 ,无线通信+存储+算力成未来十年发展主力

作者: 华泰证券 2021-06-18 08:18:26
在AI,5G,汽车智能化,物联网等下游应用推动下,我们预计全球半导体总需求未来十年仍然保持5.3%的稳定增长。

摘要

半导体2030投资主线:国产替代和后摩尔时代

我们认为,国产替代和半导体技术发展进入后摩尔时代是未来十年半导体行业投资的两条主线。受地缘政治影响,我们认为全球半导体行业的生产中心未来会从中国台湾一级集中走向全球分散布局。发展制造,设备,材料等核心环节,避免“卡脖子”问题是未来中国半导体行业重要发展路线。另一方面,半导体制造有望在2022年步入2nm时代,这之后的演进路线目前仍然是未知数。异构计算,Chiplet,先进封装等后摩尔时代技术可能成为支撑Ai、云计算、自动驾驶等半导体行业持续发展的动力。

国产替代的发展机会:从模拟到数字,从设计到生产,设备,材料,EDA

2014年发布的《国家集成电路产业发展推进纲要》,以及2019年推出的科创板是过去十年中国半导体行业发展的主要动力。根据2020年SIA数据,中国是半导体消费大国(全球34.4%),但还是设计制造的小国(全球7.1%)。半导体行业过去2年总市值上升4倍,半导体占A股公募基金持股比重从科创板开板前19年7月的0.69%上升到1Q21的3.43%,成为重要投资板块之一。展望下一个十年,我们认为设计的国产替代从最初的模拟,功率,MCU,逐步走向CPU/GPU/存储器等数字芯片。产业链环节的替代也逐渐从设计,走向生产,设备,材料,EDA等产业链环节。

后摩尔时代核心技术:器件创新,异构计算,Chiplet,先进封装

在AI,5G,汽车智能化,物联网等下游应用推动下,我们预计全球半导体总需求未来十年仍然保持5.3%的稳定增长。另一方面,半导体制造有望在2022年步入2nm时代,基于线宽缩小的技术演进路线可能逐渐走向极限。未来十年,我们认为,器件创新(Gate-all-around代替FinFET)、异构计算、Chiplet、先进封装等技术有望成为后摩尔时代支撑芯片PPA(Power-Performance-Area)表现持续提升的关键。建议关注(1)封测环节价值量提升,(2)汽车芯片有望领先,(3)晶圆代工本土需求广阔。

风险提示:新技术渗透不及预期、自主可控推进不及预期、宏观经济下行。

报告正文

一、半导体过去10年: 全球产业格局深刻变化,国产化大潮涌动

1、十年全球行业规模增长近五成,费城半导体指数大涨7倍为投资黄金十年

2011-2020年半导体行业经历多轮周期,费城半导体指数大幅上涨近7倍。从全球半导体销售额增长率来看,近十年经历了三次上行周期:2013-2014年4G手机普及带动半导体需求上升;2016 -2017 年智能手机以及数据中心需求的快速增长带动存储颗粒需求快速提升;2020年5G手机兴起以及新冠肺炎疫情蔓延意外带动居家办公、居家娱乐的“宅经济”促进了PC、平板、电视等消费电子需求对各类半导体需求反弹。而1H18年至2020年期间中美贸易摩擦逐步加剧则导致全球半导体行业过去十年最大幅度衰退。根据WSTS数据,全球半导体销售额从2011年2995.2亿美元增长47%至2020年4403.9亿美元,同期费城半导体指数从415.05上涨7倍至2895.5,过去十年成为投资全球半导体的黄金十年。

图片

中美作为全球最大两个半导体市场地位有望继续巩固。自2014年SIA和WSTS披露中国半导体销售额以来,中国在全球半导体销售额占比从2014年27.3%提高至2020年34.4%,在2019年曾达到35.1%,全球最大半导体市场地位持续巩固。在过去十年,其他市场呈现分化,2011年至2020年,美洲市场销售额占比从18.4%提升至21.7%,欧洲和日本分别从14.4%、12.5%下降至8.3%、8.5%。我们认为,作为全球智能手机产业链核心的中国以及引领智能手机核心芯片(核心SoC、射频芯片等)技术创新的美国在过去十年充分受益。我们认为,中美有望继续成为5G、新能源汽车、大数据、AIoT等新兴产业创新中心,预计中美半导体市场地位仍将巩固。

手机智能化需求引领半导体十年发展。2010年,iPhone 4上市启了手机智能化进程,手机智能化带动半导体需求快速增长,根据IDC数据,应用于无线通讯的半导体销售额从2011年752.3亿美元增长至2020年1328.8亿美元,占比从23.3%提升至30.1%,并于2018年随着存储芯片涨价到达顶峰的1440.02亿美元。根据IDC数据,全球智能手机出货量自2016年到达出货量顶峰后(14.73亿台)逐年下降,但包括性能提升、5G、多摄、高清高刷新率显示等智能手机创新持续推动单机芯片用量和价值提升。

图片

2、产业链垂直分工深化,“Foundry+Fabless”模式大获成功

从商业模式上来看,“代工+设计”模式在过去十年逐渐走向成功,在非Memory领域逐渐战胜IDM模式。从增长率来看,根据Omdia和IC Insights数据,过去十年间除去2016-2018年由于存储器价格上涨带动存储芯片IDM大厂营收大幅增长时期,芯片设计和芯片代工市场规模增速均高于IDM。从全球半导体公司排名来看,根据IC Insights数据,前十五名半导体公司中设计公司数量从2011年3家增长至2020年6家,而非存储芯片IDM公司数量从7家减少至4家,产业链垂直分工不断深化。

图片

1)设计:Fabless公司大洗牌,智能手机芯片公司发展迅速,巨头并购潮起布局未来

手机智能化带动了手机SoC、基带、通讯、显示驱动等芯片等需求成长,智能手机fabless公司成为过去10年的大赢家。根据IC Insights数据,2020年全球前十大fabless中第一名高通(QCOM.US)、第四名联发科、第五名苹果(AAPL.US)以及1H20上榜的海思皆为智能手机SoC供应商,第二名博通(AVGO.US)、第八名美满主业涉及通讯连接芯片产品,联咏则为全球手机显示广泛使用的TDDI龙头公司。

图片

过去十年巨额并购重塑市场格局,巨头争相布局未来。根据Thomson Reuters和我们统计,2011年至2021年间全球完成20宗交易金额超过30亿美元涉及fabless的并购,其中安华高以310亿美元收购博通,继而重组新博通的交易金额最高,而英伟达(NVDA.US)以400亿美元收购ARM以及AMD(AMD.US)以370亿美元收购赛灵思(XLNX.US)交易仍在进行。这些巨额交易中不乏芯片巨头对未来市场布局的战略收购,例如英特尔(INTC.US)和AMD分别收购FPGA大厂Altera和赛灵思以图加强其数据中心芯片性能、英伟达收购ARM意图补全AI算力竞争中CPU短板、英特尔收购Mobileye布局自动驾驶领域等。

图片

2)制造:台积电、三星接棒英特尔引领摩尔定律突进十年

台积电(TSM.US)先进制程超过英特尔,成为摩尔定律的引领者。过去十年,从先进逻辑制程技术来看,台积电及三星LSI已经实现了对上一代领导者英特尔的赶超,成为摩尔定律的引领者,台积电更是成为过去十年智能手机大周期最大收益者之一,并通过承接AMD、Intel、苹果M系列处理器继续在电脑处理器领域提升市场地位。据台积电数据,其凭借FinFET从28nm演进至5nm,性能提升2.7倍,同性能功耗降低为1/27,其3nm平台计划于2021年投入风险生产。我们认为,在AI/5G/智能汽车趋势提速的推动下,“Fabless+Foundry”模式仍将保持主流领先优势,推动全球芯片代工市场规模继续快速增长。台积电市值超过Intel成为全球市值最高的半导体公司是过去10年半导体行业一件标志性事件。

图片

资本开支和研发投入需求高企,追逐先进制程成为少数玩家游戏。随着先进制程工艺的升级,单位产能的资本支出将显著提升,根据IBS数据,10kwpm 14nm产能需要约1.25亿美元的资本开支投入。我们认为上述原因导致了晶圆代工行业研发密集、资本密集型的行业特性。2018年,格罗方德(Global Foundries)和联电(UMC)相继宣布停止先进制程研发,各自最先进制程停留在14nm同时专攻成熟制程和较先进制程平台的拓展。目前,积极追逐先进工艺厂商仅剩下台积电、三星、英特尔以及中芯国际(00981)。

图片

3)设备:先进制程+存储推动行业快速成长

根据日本半导体制造装置协会数据,全球半导体设备市场规模从2011年328.8亿美元增长至2020年711.8亿美元,过去9年复合增长率为9.0%,我们认为1)先进制程,2)存储器扩产与3)中国本土需求是推动过去十年半导体设备发展的三大主要动力。从地域上看,中国大陆(先进制程、成熟制程、存储器)、中国台湾(先进制程)和韩国(先进制程、存储器)增速最高,复合增长率为34.2%、13.0%和11.9%,其中中国大陆从2011年13.3亿美元增长至2020年187.2亿美元。

图片

先进逻辑制程及先进存储制造需求扩大下,设备公司的龙头效应不断加强。一方面ASML(ASML.US)、KLA等公司凭借极高的技术壁垒在单品领域达到垄断地位,另一方面AMAT(Applied Materials)、LAM(Lam Research)、TEL(Tokyo Electron Ltd)等公司通过不断提升SAM的方式构建平台化能力,稳固市场份额。根据Bloomberg数据,2011年AMAT、ASML、LAM、TEL、KLA合计占全球半导体设备市场份额66%,2022年增长至79%,其中LAM九年复合增长率达到17.4%。

图片

3、大基金助燃国产半导体行业投资热潮,科创板推动半导体板块扩容

过去十年,A+H股半导体上市公司总市值上涨超过十三倍。根据Wind数据,中国半导体行业A+H板块总市值从2010年12月31日1671.4亿元大幅提升至2020年12月31日24992.4亿元,增长14.0倍,上市公司数量从19家增加至70家,增长2.68倍。

图片

过去十年,中国半导体投资经历三次催化:1)2014年,国务院印发《国家集成电路产业发展推进纲要》以及国家集成电路产业投资基金(大基金一期)成立,开启新一轮中国半导体投资加速进程;2)2018-2020年,中美贸易摩擦延伸至科技领域,华为、中芯国际等企业被列入“实体清单”引发国家到公众对半导体自主可控关注,资本市场投资热情助燃板块估值快速提升;3)2019年6月,随着科创板开板和注册制推行,灵活准入制度推动半导体上市公司多元化,上市公司数量、总市值快速扩容。

1)大基金:全面点燃中国半导体投资热潮

2014年6月,国务院印发《国家集成电路产业发展推进纲要》,部署充分发挥国内市场优势,营造良好发展环境,激发企业活力和创造力,带动产业链协同可持续发展,加快追赶和超越的步伐,努力实现集成电路产业跨越式发展。2014年10月,工信部宣布国家集成电路产业投资基金(大基金)成立,基金由国开金融、中国烟草、亦庄国投、中国移动(00941)、上海国盛、中国电科、紫光通信、华芯投资等企业发起,基金总规模为1387.2亿元。大基金一期重点支持集成电路制造领域,兼顾设计、封装测试、装备、材料环节。2019年10月,规模为2000亿元的大基金二期成立,我们认为,大基金二期有望接力助燃中国半导体产业投资热潮。

图片

2)科创板:助推A股半导体板块快速扩容

半导体行业在科创板注册制下充分受益。根据Wind数据,自2019年6月科创板开板至2021年5月28日,CS半导体板块新增半导体上市公司35家,上市公司数量接近实现翻倍(截至2019年6月13日,CS半导体板块公司数量为37家),其中科创板上市公司24家,占新增半导体板块公司总数68.6%,总市值为8441.7亿元,占CS半导体板块37.1%,平均市值为351.7亿元(CS半导体板块平均市值315.7亿元)。

由于灵活的准入制度,科创板半导体公司具有以下特点

i)细分行业多元化,遍及foundry、fabless、IDM、设备、材料、IP供应商等细分领域;ii)规模多元化,根据Wind数据,截至2021年5月28日,科创板半导体企业含千亿以上市值一家(中芯国际),500亿-1000亿市值公司四家(澜起科技、沪硅产业、华润微、中微公司)、300亿-500亿市值公司5家、100-300亿市值公司4以及市值小于100亿10家;iii)所处发展阶段多元化,其中芯原股份、沪硅产业处于发展前期大力投入研发的亏损企业,而中芯国际为已经发展20年,但仍需加大资本开支的企业。

图片

二、半导体下十年投资机遇:关注中国半导体国产化全面提速

1、无线通信+存储+算力成为未来十年发展主力

AIoT(人工智能物联网)=AI(人工智能)+IoT(物联网), AIoT融合AI技术和IoT技术,通过物联网产生、收集来自不同维度的、海量的数据存储于云端、边缘端,再通过大数据分析,以及更高形式的人工智能,实现万物数据化、万物智联化。物联网技术与人工智能相融合,最终追求的是形成一个智能化生态体系,在该体系内,实现了不同智能终端设备之间、不同系统平台之间、不同应用场景之间的互融互通,万物互融。因此,在未来十年,我们建议关注支撑万物互联的无线通信半导体、存储海量数据及计算的存储芯片和算力芯片市场的发展空间,我们认为车载半导体在汽车智能化趋势下有望接力手机半导体成为主引擎。

根据IDC数据,2014年到2020年,中国半导体总产值全球占比提升了7.1%,受国内5G、AIoT、自动驾驶等新兴产业驱动,全球创新中心从美向中聚集,中国占比有望进一步提升。然而,中国半导体行业自给率低,随着中美摩擦加剧,半导体国产化愈加重要,我们认为,国产替代提速下,国内半导体将迎来投资黄金十年。

图片

2、主动+被动,半导体产业国产化势在必行

随着数字经济在全国GPD比重的提高,芯片在各个经济部门的渗透率也不断提升,因此发展半导体产业关乎我国经济安全。中美贸易摩擦成为影响我国半导体产业发展的重要因素,华为事件、中芯国际被加入实体清单事件表明半导体产业会成为美国制裁中国企业的重要抓手,半导体国产化是一个势在必行的趋势。根据IC Insights数据,2020年中国的集成电路的产量占国内1434亿美元集成电路市场的15.9%,高于2010年的10.2%。据IC Insights预测,到2025年,中国制造的集成电路制造将仅占国内整体集成电路市场的19.4%,我们预计至2030年该比例有望提升至30%。

从产业链环节来看,在半导体设计领域,虽然收购受限,但自主发展迅速,群雄并起,已经涌现了诸如华为海思等具有国际竞争力的企业,下一步的发展逻辑应该是把握半导体代工,继而带动上游的原材料和设备。目前国内代工厂主要以成熟制程为主,唯有中芯国际一家在追赶先进制程,但我们预计中芯国际与台积电仍有3代/约6年技术差距。而上游的半导体材料、设备、设计软件等环节主要依赖进口,美国把控全球市场,国产厂商自给能力薄弱,在华为事件中处于被动地位。

图片

图片

从细分领域看,我国核心芯片如MCU、微处理器、存储器等极度缺乏,根据Gartner数据,2020年国产占有率分别为3%,0%,1%。经过多年的发展,我国大部分中低端半导体产品实现了国产化替代,但是高端产品有待进一步的发展和提高。目前在消费类电子,如机顶盒芯片、监控器芯片等以及通信设备芯片,国内厂商能较好地兼顾性能、功耗、成本等因素,被市场广泛认可。但在高端如智能手机、汽车、工业以及其他嵌入式芯片市场,我国仍落后。我们认为短期内微处理器领域很难实现进口替代,但存储、逻辑IC、模拟IC、无线通讯芯片(包括射频)、MCU、传感器等细分领域国产化率有望快速提高。

图片

国内发展集成电路国产化,需要半导体产业从原材料、设备,到设计、制造、封测整个产业链共同配合。2020年国家陆续出台政策,8月份发布《国务院关于印发新时期促进集成电路产业和软件产业高质量发展若干政策的通知》(简称《若干政策》),12月份印发《关于促进集成电路产业和软件产业高质量发展企业所得税政策的公告》,从税收、融资等多个角度鼓励整个产业链发展。

图片

3、芯片设计:传统高端芯片寻求突破,自动驾驶芯片有望领先

过去十年,中国IC设计产业厂商技术发展仅限于低端产品的状况已逐步改善,海思的高端手机应用处理芯片率先采用了5nm先进制程,寒武纪、地平线的AI布局可达国际先进水平,展锐、大唐、海思的5G部署稳步推进。2020年国产芯片在分立器件、传感器、无线通讯芯片、应用处理器等细分领域的全球市场份额超过45%,合计覆盖了全球半导体市场空间的51%。然而在高端芯片设计方面,我国与发达国家差距巨大,主要依赖进口。根据中国海关统计口径,我国集成电路每年超过2000亿美元的进口额中,处理器和存储器两类高端芯片合计占70%以上,目前国产化率接近于0。展望2030年,我们认为国产CPU、存储器领域国产化率亟待提高,将成为本土企业重点布局的领域。

图片

传统芯片领域国产替代空间广阔,差距有望缩小

图片

图片

图片

图片

汽车进入智能化十年,中美自动驾驶芯片有望并驾齐驱领先全球

车载自动驾驶计算芯片是为高级辅助驾驶(ADAS)和自动驾驶提供算力的核心芯片。随着汽车电子化的发展,车载传感器数量和种类日渐增多,传感器与ECU(Electronic Control Unit,电子控制器)一一对应使得车辆整体性下降,线路复杂性也急剧增加,此时DCU (Domain Cotrol Unit, 域控制器)和MDC(Multiple Domain Control,多域控制器)等更强大的中心化架构逐步替代了分布式架构。自动驾驶时代,控制器需要接受、分析、处理的信号大量且复杂,原有的一个功能对应一个ECU的分布式计算架构或者单一分模块的域控制器已经无法适应需求,同时,摄像头、毫米波雷达、激光雷达等传感器采集的海量数据受限于时延及可靠性无法在云端进行计算,车载计算芯片成为自动驾驶控制核心。

根据Gartner数据,2020年全球汽车半导体市场规模为387亿美元,受新冠疫情冲击下全球汽车销量下滑影响市场规模同比下滑5.6%,根据Gartner数据及我们预测,在“电动化、智能化、网联化”的大趋势下,我们预计2030年全球汽车半导体市场规模有望达到1,123亿美元,十年年均复合增长率为11.2%,有望成为继智能手机后下一个千亿级别市场。汽车算力需求提升将带动车用处理器迅速扩大,我们预计2030年全球车用处理器市场规模将从2020年34.8亿美元增长至213.4亿美元,复合增长率为19.8%。

图片

国内在汽车算力芯片领域已经涌现出达到国际水平公司,有望与美国并驾齐驱引领未来十年。地平线、黑芝麻、华为等公司相继发布针对L2-L4自动驾驶的算力芯片或算力平台,部分自动驾驶方案已经落地量产(5月25日,地平线发布消息称,地平线征程3芯片已正式上车并在理想2021款ONE车型中得到应用)或进入试验。目前全球范围内,全球主要自动驾驶芯片提供商Mobileye(英特尔收购)、英伟达、特斯拉以及上述本土企业都集中在中美两国,随着中美两国新能源汽车发展领先,我们认为,未来十年中美汽车算力芯片有望并驾齐驱领先全球,建议投资者关注本土自动驾驶芯片公司投资机会。

图片

4、晶圆代工:聚焦成熟制程,后FinFET时代寻找赶超新机遇

1)聚焦成熟制程,代工国产化需求广阔

台积电主导全球晶圆代工市场,并在先进制程处于垄断地位,中国代工厂主要聚焦成熟制程。根据Omdia预计,2020年台积电在全球纯晶圆代工市场(不包括IDM代工厂)中的市占率为59%,联电(市占率为8%)和格芯(市占率为7%)分列第二、第三位。国内晶圆代工厂中芯国际和华虹集团(01347)(包括华虹宏力和上海华力微)在2019年分列第四和第五名,市占率分别为5%和3%。考虑到20年中国半导体需求在全球半导体市场占据20%左右的份额,我们认为未来国内本土代工厂的市占率仍有很大的上行空间。

国产化趋势有望推动成熟制程本土需求在21-25年内翻倍。过去几年,在美国对华为实施技术限制以及科创板带动创业潮等因素的催化下,半导体国产化需求呈现明显加快趋势。我们预计国内芯片设计公司有望在21-30年内保持快速增长,整体芯片自给率有望从2020年的18%(不含存储器)提升至2025年的30%;基于上述假设,我们预计2025年国内本土芯片设计公司对12英寸成熟制程晶圆代工的需求有望翻倍,假设国内晶圆代工厂产能没有额外的扩张计划,那么2030年供给缺口或扩大到4倍,达到供需失衡顶峰。我们认为,国产设备、材料在成熟工艺应用瓶颈有望在2025年逐步破除,国内晶圆代工厂产能扩充有望提速,预计2030年12英寸成熟制程晶圆代工缺口有望缩小至1倍。

受新冠疫情爆发带来的供应链混乱及下游市场需求复苏影响,全球晶圆代工产能自2H20以来呈现紧张局面。国内晶圆制造公司相对较少,我们预计在本土芯片设计行业快速增长的驱动下,中国的12英寸成熟制程晶圆代工需求在21-25年有望翻番。

图片

2)后FinFET时代,关注凭借颠覆技术实现换道超车机遇

Gate-all-around(GAA)nanosheet晶体管结构将面世接替FinFET。2012年,英特尔率先在22nm逻辑制程上引入FinFET工艺,FinFET工艺代替传统平面工艺在过去近十年推动先进制程工艺沿着摩尔定律指引演进。根据IMEC模拟数据表明,5nm制程上FinFET晶体管性能将趋于饱和,沟道更好的控制、寄生电容和电阻问题能得到显著改善的GAA nanosheet晶体管应运而生。根据各公司官网,三星、英特尔和台积电已分别宣布在3nm、5nm以及2nm制程上放弃FinFET转而应用GAA,GAA制程芯片有望在2022年量产。

图片

未来十年GAA仍不是终点,革命性创新或将孕育新机遇。根据IMEC研究报告,在GAA晶体管结构之后,垂直堆叠圆柱体纳米线全包围栅场效应晶体管(CFET)有望成为具革命性的器件,起到节省面积,提高晶体管集成密度的作用,从而有望推动摩尔定义继续发展。根据IC Knowledge LLC预测,CFET有望在2025至2030间实现量产。由于先进逻辑制程开发难度大幅提升,研发及产线建设开支大幅提升,我们认为未来十年芯片制造市场份额仍将持续向台积电、三星聚集,半导体设备市场同样持续向ASML、KLA、LAM、TEL等龙头聚集。同时,我们认为晶体管结构出现革命性创新需求有望带给国产半导体产业链换道超车的机遇。

5、封测:先进封装日渐关键,本土封测产业

1)摩尔定律发展接近极限,先进封装日渐关键

封测产业从1970年代发展至今,所采用的技术方案从最初的DIP(插入式封装)逐步演变出PQFP(塑料方块平面封装)、PGA(插针网格阵列封装)、BGA(球栅阵列封装)、QFN(方形扁平无引脚封装)等多种形式。随着消费电子产品不断向轻薄化、高集成化方向发展,在摩尔定律先进制程研发难度增大、进度放缓之际,仅依靠缩小线宽的方法已无法满足性能、功耗、面积及信号传输等多方面的要求,封装技术开始向系统集成、高速、高频、三维等方向演进,以WLP(晶圆级封装)、SIP(系统级封装)为代表的非焊线形式的先进封装方案被视为满足半导体复杂性能需求和微型化需求的理想选择。

目前主流先进封装技术平台包括Flip-Chip(倒装芯片)、WLP(晶圆级封装)、Embedded IC(嵌入式IC封装)、3D WLCSP(3D 晶圆级芯片封装)、3D IC堆叠、2.5D interposer(硅中介层)、TSV(硅通孔技术)等。WLP可分为Fan-In和Fan-Out两种,其中Fan-In是在晶圆切片前进行封装,切片分割后尺寸与芯片大小相近;Fan-Out是基于晶圆重构技术,将芯片重新布局到一块人工晶圆上,然后按照与标准WLP工艺类似的步骤进行封装,以满足IC引脚数目增加情况下PCB排线对IC封装后尺寸及引脚位置的调整要求。

图片

Yole预计2025年全球先进封装市场晶圆出货量为0.43亿片,对应2020-2025年CAGR为7%。其中,受益于3D存储(HBM、3D DDR DRAM)相关的3D IC堆叠技术以及人工智能、HPC高宽带存储HBM所需的Fan-Out应用需求增加,Yole预计2025年3D IC堆叠和Fan-Out技术在先进封装市场的出货占比将达到12%、8%,对应2020-2025年CAGR为25.0%和12.3%。嵌入式芯片占比虽低,但受益于电信、基础设施、汽车等市场需求,Yole预计其2020-2025年出货量CAGR有望达到17%。我们认为,随着器件尺寸微缩难度和成本大幅提升,先进封装在推进摩尔定律发展中的重要性有望不断提升,预计至2030年,全球先进封装市场晶圆出货量有望达到一亿片,为2025年2.3倍。

2)关注本土封测产业再上一层楼

本土封测产业具有较强配套能力,全球前十大封测厂商本土三家榜上有名。根据TrendForce,3Q20本土厂商长电/通富/华天分别以14.5%/5.9%/4.7%的市占率位居全球封测市场的第三/第六/第七。根据各公司官网,2014年华天通过收购美国FlipChip及其子公司获得WLCSP、Flip-Chip以及Wafer Bumping等先进封装技术及专利;2015年长电通过收购全球排名第四的星科金朋进一步市场领先地位;2016年通富收购AMD苏州及槟城工厂,通过与AMD形成“合资+合作”的强强联合模式,深度绑定AMD实现技术能力及客户资源的显著提升。

图片

本土封测厂抢占先进封装先进。芯谋研究数据显示,2020年中国封测产能持续扩张,通富微电苏通厂二期、华天科技南京厂等主要封测代工厂新产线逐步投产,中国封测代工厂市占率提升至22.95%。2020年中国先进封装产值达到840亿元,先进封装占比持续提升,达到33.5%。随着5G带来的新应用逐步落地和现有产品向SiP、WLP等先进封装技术转换,先进封装市场需求将维持较高速度的增长,同时封测厂主要投资将集中在先进封装领域,带动产值快速提升。

本土封测产业仍有长足发展空间。芯谋研究预计,到2025年,中国集成电路设计产业销售额将超过1000亿美元,设计企业数量及规模持续增加,带动封测需求大幅增长,同时伴随中国资本进一步并购境外封测厂商,中西部城市加大封测产线建设力度,全球封测产能加速向中国聚集,到2025年中国封测产值将在2020年基础上翻一番,总值超过5000亿元,先进封装产值增长速度更快,将超过2300亿元,占比超过45%。我们预计,至2030年,中国封测产值将在2025年再增长50%,总值超过7500亿元,封测上游设备、材料国产化有望随之取得长足进步。

6、EDA软件进口替代难度大,未来需加强与先进代工厂以及IP公司的合作

在半导体产业链中,EDA软件处于上游位置,是芯片设计的“基石”,是推动芯片设计创新的重要辅助工具之一。从竞争格局来看,根据ESDAlliance数据,2019年全球EDA市场规模达到105亿美元,全球EDA软件供应者主要是国际三巨头Synopsys、Cadence和Mentor Graphic,三大EDA企业占全球市场的份额超过60%。目前中国市场EDA销售额的95%由以上三家瓜分,剩余的5%还有部分被Ansys等其它外国公司占据,给华大九天、芯禾科技等国产EDA公司留下了极少的份额,且后者在工具的完整性方面与三强相比,有明显的差距。

中国在EDA设计软件国产替代方面虽然有所进展,但显然前路依旧漫漫。2019年,我国EDA市场规模约为5.8亿美元,仅占全球市场的5.6%。与国外巨头企业相比较,国内的EDA企业普遍成立时间较晚,因此赶超并不容易。自美国发布对华为的禁令之后,自2019年9月以来,芯华章、全芯智造等企业相继成立,中国半导体市场伴随着资本和政策的簇拥,如火如荼。中国的人工智能产业发展,通信射频、汽车电子、MEMS(微机电系统)等各领域资本的疯狂布局,催生出以国产化替代为主的EDA浪潮,通过产业下游催生产业上游的国产化改良和产业流程的再造。

短期EDA软件进口替代仍然有很大难度,长期来看需加强与先进代工厂以及IP公司的合作。国内EDA厂商都比较缺乏PDK(工艺设计套件)基础,EDA企业和国际先进晶圆厂的合作较弱,国内晶圆厂提高自身的制造技术水平有限,难以针对先进工艺设计、改良EDA软件,即使是作为本土最大的EDA公司,华大九天目前也只能够提供产业所需EDA解决方案的1/3左右,面临着如Synopsys、Cadence和Mentor等国际EDA供应商的巨大挑战。长期来看,国产EDA软件公司需要强化与国内外晶圆厂的工艺合作,以及与IP公司的配合,迭代出全流程的EDA软件平台。

7、国产刻蚀设备、薄膜沉积设备和测试设备有望成为国产化的突破口

全球半导体设备市场:根据Gartner数据,综合晶圆前后道加工,以及封测设备来看,北美和日本则处于绝对的优势地位。就晶圆处理设备而言,美国实力非常强劲,在2020年全球晶圆处理设备供应商前5名中,美国就占据了3席,分别是排名第一的应用材料,市占率19%左右;第二的Lam Research,市占率13%左右;以及排名第5的KLA,市占率6%左右。日本企业占全球半导体设备总体市场份额高达37%。在电子束描画设备、涂布/显影设备、清洗设备、氧化炉、减压CVD设备等重要前端设备、以划片机为代表的重要后道封装设备和以探针器为代表的重要测试设备环节竞争力强劲。

随着半导体产能向大陆转移、制程和硅片尺寸升级、政策的大力支持,大陆半导体设备增长强劲。目前国内Stepper光刻机基本可以满足当前量产封装需求,性价比高,客户需求响应度快;Mask Aligner光刻机目前主要应用于小尺寸晶圆和低精度应用,4/6英寸可以满足量产要求,但精度、稳定性需要继续提高。另外,8英光刻寸设备国内自主研发不足,未来亟需填补国内市场空白。除此之外,国内干法去胶机、干法蚀刻机和薄膜沉积设备基本成熟,已经具备量产应用,而研磨设备国内目前尚处于起步阶段,未来有望实现国产替代。我们认为国产刻蚀设备、薄膜沉积设备和测试设备有望成为半导体设备国产化的突破口。

发展至今,国内半导体产业在设备、核心部件等方面仍然落后于世界领先水平。但在“国产替代”浪潮下,设备供应商针对市场需求进行积极研发,将与国内领先的封测企业一同成长。未来生产步入量产阶段,有望通过持续优化研发提升竞争力。设备与封测行业共同努力,有望通过单点突破实现国产替代。“国产替代”浪潮将持续推动产业向前发展,助力国内半导体企业发展成为世界级供应商。

图片

随着中美贸易摩擦反复,我们认为近年来中国半导体设备行业的格局及商业模式发生了明显变化,主要体现在长江存储、华虹、中芯国际等制造厂商开始加强对国产设备的支持力度,国产设备厂商也有望通过加强与下游制造厂商合作加快在国内客户的验证进度和设备能力。

我们认为在国产替代需求的推动下,中国半导体设备行业的成长性将强于周期性,同时由于目前设备的低自给率,我们看好未来10年半导体设备国产化的投资机会。清洗设备、后道检测设备有望率先突破。晶圆加工核心设备技术难度高,但在国家大力支持与企业持续不断的研发投入下,具备研发实力的公司一旦突破核心技术,有望享受到巨大的市场红利,同时可以从EUV之外的ArF设备厂商进行技术引进和学习。

风险提示:

发射端模组研发及导入客户进展不及预期的风险。发射端模组是公司新的盈利增长点,研发需要投入大量的人力资源与资本开支,若研发及导入客户进展不及预期,我们认为可能会对公司的远期盈利造成负面影响。

手机出货量以及5G进展不及预期的风险。公司远期收入预测主要依托手机出货量增长以及5G渗透率快速提高的假设,若手机出货量以及5G进展不及预期,存在公司收入增速不及预期的风险。

射频前端市场竞争加剧的风险。目前国内射频前端厂商数量较多,但能全面布局射频滤波 器、射频模组和5G基站射频器件的厂商数量较少,若射频前端市场竞争加剧,公司存在产品价格下滑且利润率不及预期的风险。

本文选编自“华泰证券研究所”,智通财经编辑:庄礼佳。

智通声明:本内容为作者独立观点,不代表智通财经立场。未经允许不得转载,文中内容仅供参考,不作为实际操作建议,交易风险自担。更多最新最全港美股资讯,请点击下载智通财经App
分享
微信
分享
QQ
分享
微博
收藏